Page 1 of 1

Quality Control Module - VDAD addition?

PostPosted: Tue Nov 28, 2023 2:44 pm
by carpenox
Hey Matt I think this may be a question only you can answer, but how can I get statuses dispo'd by VDAD thru AGI to be included in quality control numbers?

Re: Quality Control Module - VDAD addition?

PostPosted: Tue Feb 20, 2024 8:36 am
by mflorell
QC is based on the vicidial_agent_log, so only agent-handled calls will be eligible for QC review as it is currently written.

Re: Quality Control Module - VDAD addition?

PostPosted: Tue Mar 05, 2024 7:57 am
by carpenox
damn ok, thank you, how many hours to get it included?

Re: Quality Control Module - VDAD addition?

PostPosted: Tue Mar 05, 2024 8:23 am
by mflorell
Not really sure, we'll need to do a code review to figure out everything that would need to be changed. Send an email to <support@vicidial.com> with the full requirements for the change and we can get that back to you.